CIMdata PLM Industry Summary Online Archive
4 November 2005
Product News
DongbuAnam Semiconductor and Synopsys Jointly Develop 130nm Reference Flow
DongbuAnam Semiconductor Inc., one of the world's largest pure-play wafer foundries, today announced that it has worked with Synopsys, Inc. to jointly develop a reference flow for DongbuAnam's 130-nanometer (nm) process. Synopsys Professional Services collaborated with DongbuAnam engineers to develop an optimized 130-nm RTL-to-GDSII design flow, named DAS Ref 130.1, based on Synopsys' GalaxyT Design Platform. The result of this development is an easy-to-adopt reference flow, which addresses key 130-nm design challenges such as power management and signal integrity closure. DongbuAnam's customers now have immediate access to the DAS Ref 130.1 reference flow, which is expected to speed time to quality results.
"We are pleased to complete this collaboration with Synopsys to give our customers access to a leading EDA IC design platform for our advanced 130nm GL130S process technology," said Dr. Heung-Joon Park at DongbuAnam. "The availability of this jointly developed reference flow is well timed to support new 130-nm chip designs targeting communications and digital consumer electronics applications. We look forward to ongoing collaboration with leading EDA firms such as Synopsys to help ensure our customers have a clear path to get their complex chips to market in a predictable way."
The reference flow incorporates the following Galaxy Design Platform tools: Design Compiler® logic synthesis product and DesignWare® Library for RTL synthesis, power optimization and test logic insertion; JupiterXTT floorplanning product for rapid design planning, power network synthesis and initial IR-drop analysis; Physical Compiler® physical synthesis product for power-aware physical synthesis; AstroT advanced physical design system for SI and EM-aware clock-tree synthesis and routing; AstroRailT power integrity analysis, implementation and verification tool for IR-drop and EM analysis; industry standards Star-RCXTT, PrimeTime® and PrimeTime SI tools for parasitic extraction and SI-aware timing sign-off; HerculesT PVS physical verification product; and TetraMAX® automatic test pattern generation and yield diagnostics product. The advanced features of these tools embedded in the reference flow provide designers proven capabilities for addressing 130nm design issues and enable more predictable tape outs to DongbuAnam's foundry. The DAS Ref 130.1 reference flow is available now. For details, please contact DongbuAnam at design@dsemi.com .
DongbuAnam Semiconductor, one of the largest pure-play wafer foundries in the world, specializes in CMOS wafer processing that enables System-on-Chip implementations that integrate the most advanced logic, analog, and mixed-signal technologies. Through close working relationships with strategic partners, DongbuAnam offers a broad range of services that augment its world-class wafer manufacturing capabilities. DongbuAnam is a key global supplier within Korea's well-established semiconductor manufacturing infrastructure. For more information, visit http://www.dsemi.com .
Become a member of the CIMdata PLM Community to receive your daily PLM news and much more.
Tell us what you think of the CIMdata Newsletter. Send your feedback.
CIMdata is committed to your privacy. Your personal information will never be sold or shared outside of CIMdata without your express permission.
include $_SERVER['DOCUMENT_ROOT'] . '/copyright.php'; ?>